688082: résumé du rapport annuel 2021

Code de la société: 688082 nom abrégé de la société: shengmei Shanghai shengmei Semiconductor Equipment (Shanghai) Co., Ltd.

Résumé du rapport annuel 2021

Section I conseils importants

1 le résumé du rapport annuel provient du texte intégral du rapport annuel. Afin de bien comprendre les résultats d’exploitation, la situation financière et les plans de développement futurs de la société, les investisseurs doivent se rendre à www.sse. Com. Cn. Lire attentivement le texte intégral du rapport annuel sur le site Web. 2 les risques importants indiquent qu’il n’y a pas de risque particulièrement important qui a une incidence importante sur la production et l’exploitation de l’entreprise au cours de la période visée par le rapport. L’entreprise a décrit en détail les risques connexes possibles dans le rapport. Veuillez consulter la section « section III discussion et analyse de la direction: IV facteurs de risque ». 3 le Conseil d’administration, le Conseil des autorités de surveillance, les administrateurs, les autorités de surveillance et les cadres supérieurs de la société garantissent l’authenticité, l’exactitude et l’exhaustivité du contenu du rapport annuel, l’absence de faux documents, de déclarations trompeuses ou d’omissions importantes et assument des responsabilités juridiques individuelles et conjointes. 4 tous les administrateurs de la société assistent aux réunions du Conseil d’administration. 5 Lixin Certified Public Accountants (Special General partnership) a publié un rapport d’audit standard sans réserve pour la société. 6 la société n’est pas rentable au moment de la cotation et n’a pas réalisé de bénéfices □ Oui √ non 7 le plan de distribution des bénéfices ou le plan de conversion du Fonds d’accumulation en capital social adopté par résolution du Conseil d’administration au cours de la période considérée

Compte tenu de la période de développement actuelle de la société, de l’expansion continue des projets de recherche et de développement et de l’échelle d’exploitation, ainsi que de la forte demande de fonds, afin de mieux protéger les intérêts à long terme de tous les actionnaires et d’assurer le développement durable et la demande de fonds de la société, la Société n’a pas l’intention de distribuer les bénéfices ou de transférer la réserve de capital au capital social en 2021. Cette proposition a été examinée et adoptée à la 17e réunion du premier Conseil d’administration de la société et doit encore être examinée à l’Assemblée générale annuelle des actionnaires de la société en 2021. 8 s’il y a des questions importantes telles que des arrangements spéciaux en matière de gouvernance d’entreprise □ applicable √ sans objet

Section 2 informations de base de l’entreprise

1 profil de la société profil des actions de la société √ applicable □ sans objet

Profil des actions de la société

Catégorie d’actions actions actions cotées en bourse abréviation d’actions abréviation d’actions et secteur avant le changement de code d’actions

Actions a Shanghai Stock Exchange shengmei Shanghai 688082 S / o

Conseil scientifique et créatif

Profil du récépissé de dépôt de la société □ applicable √ S / o personne – ressource et coordonnées

Personne – ressource et coordonnées Secrétaire du Conseil d’administration (représentant national pour la divulgation d’information) représentant des valeurs mobilières

Nom Luo Mingzhu

Adresse du Bureau: Cailun Road, zone pilote de libre – échange, Chine (Shanghai)

Bâtiment 4, no 1690

Tel 021 – 50276506

E – mail [email protected]. 1 /

2. Introduction aux principales activités de l’entreprise au cours de la période considérée (i) Principales activités, principaux produits ou services

1. Principales activités

L’entreprise est principalement engagée dans la recherche et le développement, la production et la vente d’équipements spéciaux pour les semi – conducteurs, les principaux produits comprennent l’équipement de nettoyage des semi – conducteurs, l’équipement de placage des semi – conducteurs et l’équipement avancé d’emballage humide, etc. L’entreprise adhère à la stratégie de développement de la concurrence différenciée et de l’innovation, fournit des équipements personnalisés et des solutions de processus pour la fabrication de Wafers, l’emballage avancé et d’autres clients dans le monde entier, et améliore efficacement l’efficacité de production des clients grâce à la technologie de nettoyage mégasonique à puce unique, La technologie de nettoyage combiné à fente unique, la technologie de placage, la technologie de polissage sans contrainte et la technologie de tube de four vertical, etc. Améliorer le rendement des produits et réduire les coûts de production.

2. Principaux produits

Après des années d’investissement continu dans la recherche et le développement et d’accumulation technologique, l’entreprise a mis au point successivement des équipements de nettoyage tels que le nettoyage à une seule pièce, le nettoyage à une seule pièce et le nettoyage combiné à une seule pièce, l’équipement de galvanoplastie d’interconnexion en cuivre avant la fabrication de puces, l’équipement de galvanoplastie d’emballage avancé arrière, l’équipement de gravure par voie humide, l’équipement de revêtement, l’équipement de développement, l’équipement de dégazage pour l’emballage avancé, Équipement de polissage sans contrainte et équipement vertical de la série de tubes de four, etc.

Équipement de nettoyage des semi – conducteurs

Équipement de nettoyage à une seule pièce

Grâce à la technologie de nettoyage mégasonique SAPS et tebo, qui est développée indépendamment et protégée par la propriété intellectuelle mondiale, l’entreprise a résolu le problème de la façon dont l’énergie mégasonique est répartie uniformément sur la plaquette et comment réaliser la sphéricité sans dommage de la structure graphique lors de l’application de la technologie mégasonique dans l’équipement de nettoyage à puce unique IC. Afin de maximiser la capacité de production, l’équipement de nettoyage à puce unique de l’entreprise peut être configuré avec plusieurs cavités de procédé en fonction des besoins des clients, jusqu’à 18 cavités peuvent être configurées par un seul ensemble, ce qui améliore efficacement l’efficacité de production des clients.

Équipement de nettoyage mégasonique SAPS, principalement utilisé pour le nettoyage à l’intérieur de la surface plane de la plaquette et de la structure du trou à travers le rapport hauteur – Largeur

L’énergie mégaacoustique de la surface de la plaquette varie périodiquement avec la distance entre la plaquette et le générateur mégaacoustique. Dans le processus traditionnel de nettoyage mégasonique, la déformation de la plaquette causée par la contrainte après différents processus fait que la distance entre les différents points de la plaquette et le générateur mégasonique est différente, de sorte que l’énergie mégasonique à différentes positions de la plaquette est également différente, de sorte que la distribution uniforme de l’énergie mégasonique à la surface de la plaquette ne peut pas être réalisée. De plus, en raison de l’erreur de contrôle de la position du matériel, l’énergie mégaacoustique est distribuée de façon inégale sur la surface de la plaquette.

Le générateur de mégasons en forme de ventilateur est adopté pour la technologie de mégasons SAPS développée indépendamment par l’entreprise. En appariant avec précision les paramètres clés du processus, tels que la vitesse de rotation de la plaquette, l’épaisseur du film liquide, la position du générateur de mégasons, le déplacement alternatif et l’énergie, le mouvement relatif de la demi – longueur d’onde entre le générateur de mégasons et la plaquette est contrôlé dans le processus. L’énergie mégaacoustique reçue à chaque point de la plaquette est la même dans le temps de traitement, de sorte que la distribution uniforme de l’énergie mégaacoustique à la surface de la plaquette est bien contrôlée.

L’équipement de nettoyage terasonique tebo s’applique principalement au nettoyage des Wafers graphiques, y compris les structures graphiques 3D avancées.

L’équipement de nettoyage tebo développé indépendamment par l’entreprise peut être utilisé pour le nettoyage des Wafers graphiques à 28 nm et moins. Grâce à une série de changements de pression rapides (fréquence jusqu’à un million de fois par seconde), les bulles d’air peuvent maintenir l’oscillation de taille et de forme à une température contrôlée, et les bulles d’air peuvent être contrôlées dans un état d’oscillation stable sans implosion, afin de maintenir la microstructure des Wafers intacte. La surface de la plaquette est nettoyée sans dommage. L’équipement de nettoyage tebo de l’entreprise peut être appliqué à des produits plus fins tels que finfet, DRAM et NAND 3D émergent avec structure 3D, ainsi qu’à de nouveaux nanodispositifs et dispositifs quantiques à l’avenir, dans le transfert de technologie de la structure de l’appareil de 2D à 3D, jouant un rôle de plus en plus important dans l’amélioration du rendement des produits des clients.

Équipement de nettoyage combiné à fente unique

L’équipement de nettoyage Tahoe, développé indépendamment par l’entreprise et bénéficiant d’une protection mondiale de la propriété intellectuelle, intègre deux modules dans un seul équipement de nettoyage par voie humide: le module rainuré et le module monolithique. L’équipement de nettoyage Tahoe peut être utilisé dans des dizaines de processus de nettoyage clés, tels que l’enlèvement de la photorésistance, le nettoyage après gravure, le nettoyage après implantation ionique et le nettoyage après polissage mécanique. L’efficacité de nettoyage et l’applicabilité du procédé de l’équipement de nettoyage Tahoe sont comparables à celles de l’équipement de nettoyage à une seule pièce, tandis que l’utilisation d’acide sulfurique peut être considérablement réduite par rapport à l’équipement de nettoyage à une seule pièce, ce qui aide les clients à réduire les coûts de production et à mieux se conformer aux politiques d’économie d’énergie et de réduction des émissions. L’appareil a terminé la validation du client et est entré dans la phase de production de masse.

Équipement de nettoyage à l’arrière d’une seule pièce

L’équipement de nettoyage de l’arrière d’une seule puce développé par l’entreprise adopte le mandrin Bernoulli, applique le principe de suspension aérodynamique, utilise le manipulateur pour envoyer la plaquette dans la cavité, de sorte que l’arrière de la plaquette soit orienté vers le haut, l’avant de la plaquette est orienté vers le bas, pendant Le processus, L’azote de haute pureté pour un contrôle précis du débit s’écoule continuellement dans l’espace entre la plaquette et l’appareil de retenue à travers la ligne de gaz sous l’appareil de retenue et un petit trou annulaire autour de la surface de l’araignée. L’équipement peut être utilisé pour le nettoyage des métaux de surface et la gravure de surface.

Équipement de lavage de la voie avant

La surface avant et la surface arrière de la plaquette sont nettoyées par une seule cavité selon le processus, y compris le brossage de la surface arrière de la plaquette, le brossage du bord de la plaquette, le nettoyage des deux fluides de la surface avant et de la surface arrière, etc. L’équipement couvre une petite superficie, une grande capacité, une grande stabilité, une variété de méthodes de nettoyage flexibles et optionnelles. Il peut être utilisé dans le processus de brossage des sections avant et arrière du processus de fabrication des circuits intégrés.

Équipement automatique de nettoyage des rainures

L’équipement de nettoyage automatique de type rainure développé par l’entreprise est largement utilisé dans le nettoyage, la gravure, l’enlèvement de la photorésistance et d’autres procédés dans le domaine des circuits intégrés et des emballages avancés. L’eau pure, la solution alcaline et la solution acide sont utilisés comme agents de nettoyage, combinés avec des méthodes de nettoyage telles que la pulvérisation, le trempage à chaud, le débordement et la bulle, et combinés avec la technologie de séchage IPA atmosphérique avancée et la technologie de séchage IPA basse tension avancée. Capable de nettoyer 50 plaquettes en même temps. L’équipement a un haut degré d’automatisation, une bonne stabilité, une grande efficacité de nettoyage et une faible contamination croisée des métaux, des matériaux et des particules. L’équipement est principalement utilisé dans presque tous les processus de nettoyage des noeuds techniques de 40 nm et plus.

En 2021, nous avons terminé la conception, l’assemblage et l’essai de 14 machines à laver les fentes, dont 10 ont été livrées au client pour la vérification du processus et la production en série de la tranche de produit. Il s’agit notamment de deux ensembles utilisant la dernière technologie de séchage à basse pression IPA et d’un ensemble d’équipement de nettoyage automatique à rainures de 200 mm.

Matériel de galvanoplastie à semi – conducteurs

L’équipement de galvanoplastie développé indépendamment par l’entreprise avec la protection mondiale de la propriété intellectuelle a été vérifié par les clients en aval. L’équipement de galvanoplastie utilisé pour l’emballage avancé de la voie arrière est entré sur le marché et a reçu des commandes répétées. Au cours de la période considérée, la vérification de la production de masse et la production de masse de l’équipement client ont été réalisées: 4 ensembles d’équipement de placage de semi – conducteurs ont été achevés, dont 3 ensembles d’équipement de placage de carte ultra – ECP et 1 ensemble d’équipement de placage 3D ultra – ECP. La vérification de la production de masse et l’entrée dans la production de masse ont été appliquées aux noeuds techniques de 28 nm, 40 nm, 55 nm, 65 nm et au processus TSV a: r = 10: 10.

Équipement de galvanoplastie en cuivre pour l’interconnexion en cuivre de la voie avant

À l’heure actuelle, l’entreprise est l’une des rares entreprises au monde à détenir des brevets de base et à réaliser l’industrialisation de la technologie de placage du cuivre sur puce. L’entreprise développe indépendamment la carte ultra ECP de la technologie de placage du cuivre d’interconnexion de la voie avant IC pour les noeuds technologiques de 28 à 14 nm et moins. La technologie de galvanoplastie locale Multi – anodes de l’entreprise adopte une nouvelle méthode de contrôle du courant pour réaliser un changement rapide de niveau de milliseconde entre différentes anodes. Le remplissage sans trou peut être effectué sur la couche de grain ultra – mince (5NM). En même temps, en ajustant le courant de différentes anodes, une meilleure uniformité de l’épaisseur du film de cuivre déposé peut être obtenue après le remplissage sans trou, qui peut répondre aux exigences de la technologie avancée de galvanoplastie du cuivre.

Équipement de galvanoplastie d’emballage avancé pour la voie arrière

L’entreprise a réalisé un développement différentiel dans le domaine de l’emballage avancé des semi – conducteurs, a résolu le problème difficile de réaliser un placage stable à un débit plus élevé de solution de placage, et a adopté la technologie originale de contrôle du champ électrique de la deuxième anode pour mieux contrôler l’uniformité de l’épaisseur du film sur le bord plat ou la zone d’entaille de la plaquette, afin d’obtenir une meilleure uniformité à l’intérieur de la plaquette et de réaliser le placage à haute densité de courant. Tous les indices des produits en saillie répondent aux exigences des clients. Dans le domaine de l’électrodéposition pour les emballages à haute densité 2 μ M revêtement de la ligne RDL Ultrafine et de diverses couches m étalliques, y compris le cuivre, le nickel, l’étain, l’argent et l’or. La technologie brevetée d’étanchéité des anneaux en caoutchouc développée par l’entreprise peut obtenir un meilleur effet d’étanchéité et éviter les fuites de liquide de placage et les problèmes de placage.

Équipement de polissage des semi – conducteurs

Équipement de polissage du cuivre pour l’interconnexion du cuivre de la voie avant

L’entreprise a constaté que l’oxydation électrolytique de la surface du ruthénium peut être effectuée par le procédé SFP, puis la gravure à l’acide fluorhydrique dilué peut obtenir un bon effet d’enlèvement de la couche métallique de ruthénium sans contrainte mécanique, et résoudre le problème de la destruction des micro – fils de cuivre et des matériaux diélectriques environnants. Cette technique peut être utilisée pour le processus d’interconnexion du cuivre sous les noeuds technologiques 5NM et 3nm. En même temps, en raison de l’absence de contrainte mécanique, il est plus facile d’intégrer le diélectrique ultra – faible K (k 2) avec le fil de cuivre, ce qui améliore la vitesse de calcul de La puce.

Équipement de polissage du cuivre sans contrainte pour l’emballage avancé de la voie arrière

L’entreprise a mis au point de façon indépendante un équipement de polissage sans contrainte avec une protection mondiale de la propriété intellectuelle pour les applications de nivellement de couches métalliques telles que 3D TSV, 2.5D Silicon Intermediate Layer, RDL et HD Fan out dans des emballages avancés. L’équipement présente les caractéristiques d’un procédé sans contrainte, d’une solution électrochimique de polissage réutilisable, réduisant ainsi le coût des matériaux consommables et de faibles émissions de protection de l’environnement.

Équipement avancé d’emballage humide

L’entreprise adhère à la stratégie concurrentielle de différenciation, basée sur la technologie avancée de l’équipement de nettoyage par voie humide à l’avant de l’IC, étend l’application du produit au champ d’application avancé de l’emballage. En prenant comme exemple le flux de processus typique de l’emballage de bosses dans l’emballage avancé, l’équipement de procédé humide à une seule pièce impliqué dans l’ensemble du processus comprend l’équipement de nettoyage, l’équipement de collage, l’équipement de développement, l’équipement de dégazage, l’équipement de gravure humide, l’équipement de polissage sans contrainte, etc.

À l’heure actuelle, l’entreprise a couvert l’ensemble de l’équipement de procédé humide à une seule pièce dans le domaine des produits de l’industrie de l’emballage de pointe, et les produits sont entrés successivement dans la ligne de production de l’entreprise d’emballage et les instituts de recherche scientifique, y compris Jcet Group Co.Ltd(600584) , Tongfu Microelectronics Co.Ltd(002156)

Équipement vertical de tuyauterie de four

L’équipement vertical de tuyauterie de four mis au point par l’entreprise se compose principalement d’un module de transmission de Wafers, d’un module de cavité de procédé, d’un module de distribution de gaz, d’un module de régulation de la température, d’un module de traitement des gaz d’échappement et d’un module de commande logicielle. Il est conçu et fabriqué en fonction de différentes applications et exigences de procédé. Il se concentre d’abord sur l’équipement LPCVD de tuyauterie de four, puis se développe vers Enfin, il entre progressivement dans l’application de l’équipement ALD du tube de four. Principaux modes de fonctionnement

1. Modèle de profit

En tant qu’entreprise d’équipements spéciaux pour semi – conducteurs qui fait face à la frontière internationale de la science et de la technologie et adhère à l’innovation indépendante, l’entreprise suit les pratiques de l’industrie mondiale, principalement engagée dans la recherche et le développement de technologies et de procédés, la conception et la fabrication de produits, et fournit des solutions d’équipements et de procédés aux clients. L’entreprise elle – même n’est guère engagée dans le traitement des pièces de rechange. Selon la conception du produit, l’entreprise organise l’achat et l’externalisation des pièces de rechange, établit un système de chaîne d’approvisionnement parfait aux États – Unis, en Corée du Sud et en Chine continentale, établit une relation de coopération étroite avec les fournisseurs de base et assure l’approvisionnement en pièces de rechange importantes. L’entreprise est formée par une accumulation à long terme de R & D

- Advertisment -