Huawei, Apple et d’autres géants entrent dans la mise en page de la nouvelle ligne principale de semi-conducteurs a fait surface ? Zheshang Securities Co.Ltd(601878) :Chiplet apporte de nouvelles opportunités de développement.

[Huawei, Apple et d’autres géants entrent dans la mise en page de la nouvelle ligne principale des semi-conducteurs émerge ? Zheshang Securities Co.Ltd(601878) :Chiplet apporte de nouvelles opportunités de développement】 Zheshang Securities Co.Ltd(601878) a récemment déclaré que le modèle Chiplet (grain central) est l’une des directions du développement des processus de semi-conducteurs dans le cadre du ralentissement de la loi de Moore. La solution permet de franchir un cap vers des itérations de processus avancées grâce à un conditionnement avancé de plusieurs puces nues. Par rapport aux solutions SoC traditionnelles, le modèle Chiplet offre trois avantages : la flexibilité de la conception, le faible coût et la rapidité de la mise sur le marché. Ces dernières années, les fabricants internationaux ont lancé activement des produits connexes, comme le Huawei Kunpeng 920, le Milan-X d’AMD et le M1 Ultra d’Apple. On s’attend également à ce que Chiplet mette en avant des exigences plus élevées pour les fournisseurs d’emballages/IP, apportant de nouvelles opportunités de développement.

Le modèle des chiplets est l’une des orientations du développement des procédés de semi-conducteurs dans le contexte du ralentissement de la loi de Moore.Cette solution permet de plier des itérations de processus avancées grâce à un conditionnement avancé de plusieurs puces nues. Par rapport aux solutions SoC traditionnelles, le modèle Chiplet présente les avantages suivants

Souplesse de conception, faible coût et délais de mise sur le marché réduits.Trois avantages. Ces dernières années, des fournisseurs internationaux ont activement lancé des produits connexes, comme le Huawei Kunpeng 920, le Milan-X d’AMD et le M1 Ultra d’Apple.

On s’attend également à ce que Chiplet mette en avant des exigences plus élevées pour les fournisseurs d’emballages/IP, apportant de nouvelles opportunités de développement.Points forts de l’investissement■Chiplet : Poursuivre la loi de Moore – La voie de la substitution de processus avancée !Avec l’itération des processus avancés à 7nm, 5nm et 3nm, la loi de Moore ralentit progressivement et le coût et la difficulté de développement des processus avancés augmentent. La solution Chiplet est une solution alternative importante au processus avancé actuel. Grâce à la solution Chiplet, la Chine continentale pourrait être en mesure de combler les lacunes actuelles de la technologie du processus avancé qui est à la traîne dans la fabrication des puces, apportant de nouvelles opportunités pour la chaîne industrielle chinoise des semi-conducteurs. La solution Chiplet est une solution alternative importante à la technologie actuelle des procédés avancés.

■ Mise en page géante : perspective du cas Huawei/AMD/Apple !Les géants internationaux Huawei, AMD et Intel s’emploient activement et agressivement à mettre en place Chiplet et à lancer des produits connexes. Huawei a lancé son processeur Kunpeng 920 en 7 nm basé sur la technologie Chiplet en 2019, avec un score au banc d’essai SPECint de plus de 930 aux fréquences principales typiques, dépassant de 25 % la référence de l’industrie. AMD a lancé sa puce de traitement de serveur de troisième génération basée sur la technologie de conditionnement 3D Chiplet de TSMC en mars de cette année. Apple a lancé sa puce M1 Ultra en utilisant le processus de pontage CoWos-S de TSMC, avec une interconnexion interne de deux puces M1 Max pour un saut de performance.

■ Innovation industrielle : Emballage avancé + multiplexage IP – La clé de la chaîne d’approvisionnement !Les fournisseurs internationaux Intel, TSMC, Samsung et bien d’autres ont créé leur propre écosystème Chiplet pour s’emparer activement du marché de l’emballage avancé Chiplet. Jcet Group Co.Ltd(600584) a rejoint l’alliance industrielle UCIe en juin et a lancé l’année dernière une gamme complète de solutions de boîtiers fan-out à très haute densité XDFOI. Tongfu Microelectronics Co.Ltd(002156) En travaillant étroitement avec AMD, nous disposons désormais d’une capacité de production à grande échelle pour la technologie de conditionnement avancée Chiplet, et la réutilisation de la propriété intellectuelle dans le modèle Chiplet aidera les fournisseurs de propriété intellectuelle à se transformer en fournisseurs Chiplet et à passer au matériel.

■ Sociétés bénéficiaires potentiellesEmballage avancé : Tongfu Microelectronics Co.Ltd(002156) , Jcet Group Co.Ltd(600584) , etc.

Sociétés de PI de conception : Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) , etc.

Équipement d’emballage et de test : Beijing Huafeng Test & Control Technology Co.Ltd(688200) , Hangzhou Chang Chuan Technology Co.Ltd(300604) , Shenzhen Xinyichang Technology Co.Ltd(688383) , Suzhou Uigreen Micro&Nano Technologies Co.Ltd(688661) , etc.

Plateau de chargement des joints: Shenzhen Fastprint Circuit Tech Co.Ltd(002436) etc.

■ Conseils sur les risquesLes progrès de l’emballage avancé ne sont pas ceux escomptés ; l’intensification des sanctions dans le domaine de la technologie.

1. chiplet : poursuite de la loi de Moore – la voie vers la substitution de processus avancés !1.1. Chiplet aide les processus avancés à prendre la route !Le modèle de chiplet (corelet) est l’une des orientations du développement des processus de semi-conducteurs dans le cadre du ralentissement de la loi de Moore.Au cours des dernières décennies, les processus de fabrication des puces ont largement évolué conformément à la loi de Moore, le nombre de transistors pouvant être logés par unité de surface de puce doublant environ tous les 18 mois, ce qui a permis d’améliorer à la fois les performances et le coût des puces. Cependant, avec l’itération des processus vers 7nm, 5nm, 3nm et moins, le coût et la difficulté de développer des processus avancés ont augmenté, et l’économie du développement de processus avancés est progressivement remise en question. L’architecture de puce la plus courante de l’ère post-Loi de Moore, le SoC (System-on-Chip), continue de faire progresser la Loi de Moore en intégrant plusieurs composants responsables de différentes tâches informatiques sur une seule puce, en utilisant une seule puce pour obtenir une fonctionnalité complète et en utilisant le même processus pour chaque domaine fonctionnel. En utilisant une technologie de conditionnement avancée basée sur l’intégration hétérogène, la puce peut contourner la technologie de processus avancée pour augmenter les performances tout en réduisant le coût et la durée du cycle de production grâce à l’expansion arithmétique. Dans l’ensemble, Chiplet est une solution très performante, peu coûteuse et rapide à mettre sur le marché qui assemble plusieurs puces (par exemple, E/S, mémoire et cœurs IP) dans un seul boîtier.

La solution Chiplet impose des exigences plus élevées au processus d’emballage.Chiplet et SiP sont similaires en ce sens qu’ils réalisent tous deux l’intégration et le conditionnement entre différents composants, tandis que les puces nues de Chiplet sont indépendantes les unes des autres, avec un niveau d’intégration plus élevé et ne sont pas intégrées sur une seule plaquette. Les solutions de conditionnement actuelles de Chiplet comprennent principalement des boîtiers 2,5D, des boîtiers 3D, des boîtiers MCM et d’autres types. La solution de conditionnement de Chiplet doit réaliser l’interconnexion entre chaque puce nue et également garantir la qualité de transmission des signaux entre chaque pièce.

Des géants internationaux ont créé l’alliance industrielle UCIe pour promouvoir des normes de protocoles d’interconnexion.Le modèle Chiplet nécessite l’interconnexion de diverses puces, et la définition de la norme d’interconnexion est une question importante. 2020 Après avoir rejoint l’US CHIPS Alliance, Intel a fourni gratuitement l’interface de bus d’interconnexion AIB pour soutenir la construction de l’écosystème Chiplet. La norme n’est pas largement utilisée. Intel, AMD, Arm, Qualcomm, Samsung, TSMC, Sun and Moon, Google Cloud, Meta, Microsoft et d’autres grands fabricants ont conclu en mars 2022 l’alliance industrielle UCIe, visant à établir une norme unifiée d’interconnexion puce à puce, ce qui a facilité le développement d’applications en mode Chiplet. Après le peignage, nous pensons que l’alliance UCIe établie par des géants internationaux jouera un rôle important dans la promotion de l’unification des normes d’interconnexion Chiplet, et que le développement des solutions Chiplet sera accéléré.

1.2. la flexibilité + le faible coût génèrent une demande pour Chiplet

Par rapport aux solutions SoC traditionnelles, le modèle Chiplet présente trois avantages : la souplesse de conception, le faible coût et la rapidité de mise sur le marché, ce qui fait de cette solution un axe de développement important pour les processus de fabrication des semi-conducteurs.Le mode Chiplet permet de sélectionner librement les nœuds de processus pour les différentes partitions.Les puces SoC conventionnelles doivent être fabriquées avec le même nœud de processus sélectionné. Cependant, des puces différentes ont des exigences de processus différentes. Par exemple, les puces logiques, les puces analogiques, les puces RF et les mémoires ont souvent des nœuds de processus matures différents. Les puces analogiques peuvent causer des problèmes de fuite et de bruit si elles utilisent des processus avancés, tandis que les puces SoC utilisant uniformément le même processus peuvent causer certains problèmes. Le modèle Chiplet, quant à lui, offre la liberté de choisir le processus de différentes puces nues, puis de les assembler grâce à un conditionnement avancé, ce qui est plus flexible et présente des avantages évidents par rapport aux SoC.

Le modèle Chiplet permet d’obtenir des rendements plus élevés et de réduire les coûts de fabrication.L’architecture traditionnelle des SoC augmente la surface d’une seule puce, ce qui accroît la difficulté du processus de fabrication de la puce, et la perte de rendement causée par la densité de défauts augmente, ce qui entraîne une hausse du coût de fabrication des SoC. La solution Chiplet, quant à elle, divise la grande puce en plusieurs puces nues d’une surface unitaire plus petite, ce qui améliorera relativement le taux de rendement et réduira donc son coût de fabrication.

Le modèle Chiplet permet de réutiliser les produits et de raccourcir le cycle de mise sur le marché.Le mode Chiplet permet l’itération sélective de différentes unités de la puce, et la prochaine génération de produits peut être produite après itération de certaines des puces nues, ce qui raccourcit considérablement le cycle de mise sur le marché.

Le modèle Chiplet présente actuellement des problèmes temporaires tels que des exigences élevées en matière de technologie de conditionnement avancée et de faibles capacités de dissipation de la chaleur.La réalisation de l’ouverture et du placage entre chaque puce nue nécessite des opérations précises ; pour garantir que les données entre chaque puce nue atteignent une vitesse élevée et une transmission de haute qualité ; et une faible capacité de dissipation de la chaleur par rapport au processus avancé du mode Chiplet, tous ces ajouts présentent de nouveaux défis techniques pour la fabrication de la puce.

2. Mise en page géante : perspective du cas Huawei/AMD/Apple !2.1. Huawei : le premier à lancer la solution de serveur cloud Chiplet en 7 nmHuawei présente le processeur Kunpeng 920 de 7 nm basé sur la technologie Chiplet.Huawei a lancé le Kunpeng 920, le premier processeur ARM du secteur. Selon le site officiel de la société, le processeur utilise un processus de fabrication de 7 nm, est sous licence basée sur l’architecture ARM, et est conçu et réalisé par Huawei de manière indépendante. À une fréquence principale typique, le score du benchmark SPECint dépasse 930, soit 25 % de plus que le benchmark de l’industrie. Dans le même temps, le taux d’efficacité énergétique est supérieur de 30 % à la référence du secteur. Le Kunpeng 920 offre des performances accrues pour les centres de données tout en consommant moins d’énergie. Le processeur crée un sous-système de cache cohérent pour intégrer plusieurs cœurs dans une seule petite puce, tandis que des petits blocs d’E/S parallèles dédiés ont été développés pour permettre une connectivité inter-puces à large bande passante pour les solutions de boîtiers bidimensionnels.

2.2. AMD : s’associer à TSMC pour lancer la solution 3D ChipletAMD s’associe à TSMC pour lancer des produits 3D Chiplet.En juin 2021, AMD a lancé son V-Cache 3D basé sur la technologie 3D Chiplet, qui utilise la technologie d’emballage avancée 3D Fabric de TSMC pour emballer les Chiplets contenant 64 Mo de cache L3 dans une pile 3D avec le processeur.En mars 2022, AMD a lancé le processeur Milan-X Skyline, le troisième processeur basé sur Milan. Ce processeur est une mise à niveau du processeur de troisième génération basé à Milan, l’EPYC 7003, qui atteint 768 Mo de cache L3 en utilisant la technologie d’empilement 3D V-Cache d’AMD. milan-X est un MCM contenant neuf petites puces, dont huit puces CCD et une grande puce E/S.

Photo

2.3. Apple : interconnexions doubles M1 Max pour des solutions à haute performanceApple présente les puces M1 Ultra avec le processus de pontage CoWos-S de TSMC pour un saut de performance. La puce M1 Ultra d’Apple, qui sera commercialisée en mars 2022, est dotée d’une architecture de puce UltraFusion unique en son genre, qui permet un saut de performance grâce à l’interconnexion interne de deux puces M1 Max grâce à la technologie CoWos-S de TSMC. Le M1 Ultra dispose de 128 Go de mémoire intégrée en interne et comprend huit composants de mémoire dans une pile HBM (High Bandwidth Memory) à 16 couches empilées avec un taux de transfert central de 3200 M et une bande passante de transfert réelle de plus de 800 Go/s. Ce produit réalise un autre bond en avant significatif pour les puces Apple et la série d’ordinateurs Mac, une étape importante.

3. innovation industrielle : emballage avancé + multiplexage IP – la clé de la chaîne d’approvisionnement !3.1 L’emballage avancé améliore la flexibilité de la conceptionLes solutions d’emballage actuelles de Chiplet comprennent principalement des emballages 2,5D, des emballages 3D, des emballages MCM et d’autres types.Le boîtier 2.5D dispose plusieurs puces côte à côte sur une couche d’interposition et les connecte via un Micro Bump, permettant aux fils métalliques internes de connecter les signaux électroniques entre les puces, puis connecte les bosses métalliques en dessous via une perforation de silicium (TSV), et enfin connecte les billes métalliques externes via une plaque porte-fils pour obtenir une connexion étanche entre les composants. En revanche, l’emballage 3D est un empilement direct de puces, où la structure CMOS est fabriquée sur la puce et où des perforations en silicium sont utilisées pour connecter les signaux électroniques entre les puces. La technologie mcm est l’assemblage de plusieurs puces LSI/VLSI/ASIC et d’autres composants sur le même substrat d’interconnexion multicouche, puis emballés.

Les fabricants internationaux mettent activement en place des paquets de Chiplet.Actuellement, Intel, TSMC, Samsung et de nombreuses autres entreprises ont créé leur propre écosystème Chiplet et s’emparent activement du marché de l’emballage avancé Chiplet.

Intel a présenté Foveros, une technologie d’intégration de systèmes hétérogènes empilés en 3D, et EMIB, une technologie de pont d’interconnexion multi-puces embarquée.La technologie des boîtiers utilise l’empilement 3D pour l’intégration logique à logique, ce qui offre aux concepteurs une grande souplesse pour combiner l’utilisation de blocs IP technologiques avec divers éléments de mémoire et d’entrée/sortie dans les nouveaux facteurs de forme des dispositifs. La technologie EMIB combine des substrats organiques et de silicium avec des substrats de silicium intégrés pour des interconnexions à haute densité. Grâce à une telle architecture, la densité et les performances des interconnexions sont maintenues, en plus de les coûts de fabrication peuvent être réduits.

TSMC présente la 3D Fabric, équipée de l’empilement de silicium 3D et de technologies de conditionnement avancées telles que CoWoS et InFO.La famille de technologies 3DFabric de TSMC comprend des technologies d’interconnexion frontales et dorsales 2D et 3D. La technologie frontale, TSMC-SoIC, utilise la précision et les méthodes de fabrication de silicium de pointe nécessaires à l’empilement de silicium 3D, y compris les technologies d’empilement de puces chip-on-wafer (CoW) et wafer-on-wafer (WoW) qui permettent aux empilements 3D de puces similaires et différentes de fournir de multiples fonctions, y compris l’augmentation des cœurs de calcul en augmentant la la puissance de calcul en augmentant le nombre de cœurs de calcul, la mémoire empilée pour fournir plus de mémoire et une bande passante plus élevée, et l’amélioration du transfert de puissance grâce à des condensateurs à tranchée profonde. TSMC possède également plusieurs fabs propriétaires qui peuvent assembler et tester des puces en silicium, y compris des puces empilées en 3D, et les transformer en dispositifs emballés. Les processus dorsaux 3D Fabric de TSMC comprennent les familles de technologies de conditionnement CoWoS et InFO.

Les entreprises chinoises Tongfu Microelectronics Co.Ltd(002156) et Jcet Group Co.Ltd(600584) s’emploient activement à mettre au point la technologie d’emballage Chiplet. Jcet Group Co.Ltd(600584) a rejoint l’alliance industrielle UCIe en juin pour participer à la promotion de la normalisation de la spécification de l’interface Chiplet. Selon les questions-réponses avec les investisseurs, la société a lancé l’année dernière une gamme complète de solutions d’encapsulation en éventail à très haute densité XDFOI, qui est une solution d’intégration hétérogène à très haute densité orientée Chiplet, à encapsulation en éventail multiple, y compris 2D/2.5D/3D Chiplet, offrant aux clients un guichet unique de la densité normale à la très haute densité et de la très petite à la très grande taille. Tongfu Microelectronics Co.Ltd(002156) Travaillant en étroite collaboration avec AMD, nous sommes une fonderie importante pour AMD en termes de conditionnement et de tests. Nous disposons de dispositions et de réserves en matière de Chiplet, WLP, SiP, Fanout, empilage 2,5D, 3D, etc. Nous avons maintenant une capacité de production à grande échelle pour la technologie de conditionnement avancée Chiplet.

L’emballage des puces stimule la croissance de la demande de testeurs de puces.Selon les recherches de l’entreprise, par rapport aux boîtiers SoC, les puces à architecture Chiplet nécessitent la fabrication de plusieurs puces nues, et la défaillance d’une seule puce nue entraînera la défaillance de l’ensemble de la puce, ce qui oblige les entreprises de conditionnement et de test à effectuer un plus grand nombre de tests pour réduire les pertes causées par les puces défaillantes. Actuellement, Beijing Huafeng Test & Control Technology Co.Ltd(688200) et Hangzhou Chang Chuan Technology Co.Ltd(300604) sont présents dans les testeurs et devraient bénéficier de la croissance de la demande de testeurs induite par le conditionnement en Chiplet.

3.2. le multiplexage IP pour améliorer l’économie de la conceptionLe développement de la puce est propice à la réalisation de la “puce IP”. La puce est composée de différentes fonctions de la puce nue, en même temps la puce nue est en fait la propriété intellectuelle des semi-conducteurs après la conception et l’optimisation du processus de production des produits matériels, dans un sens la puce peut également être considérée comme Les vendeurs d’IP ont la possibilité de se transformer de fournisseurs d’IP en fournisseurs de produits Chiplet, augmentant ainsi la valeur ajoutée de l’entreprise dans la chaîne industrielle. Dans le modèle Chiplet, les sociétés de conception peuvent acheter du matériel auprès de différentes sociétés, puis les combiner grâce à un conditionnement avancé. Dans ce modèle, les sociétés de propriété intellectuelle devraient se transformer en fournisseurs de matériel.

Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) Le plus grand fournisseur de PI pour semi-conducteurs en Chine devrait bénéficier du développement de Chiplet.La société est le premier fournisseur de PI pour semi-conducteurs en Chine continentale et l’une des premières sociétés de Chine continentale à rejoindre le consortium UCIe. Elle dispose d’un grand nombre de cœurs de PI pour processeurs et de capacités de conception de puces de premier plan. Actuellement, l’entreprise s’engage à industrialiser Chiplet par le biais de “IP-on-Chip” et “Chip-on-Platform”, et a établi des partenariats avec des sociétés de conditionnement et de test et des fabricants de puces, ce qui lui donne un avantage pour lancer l’activité Chiplet. De 2022 à 2023, la société prévoit de continuer à promouvoir la recherche et le développement itératifs de solutions Chiplet pour les sectorformes de processeurs d’applications haut de gamme, et de faire progresser l’industrialisation de Chiplet dans les tablettes PC, la conduite autonome, les centres de données, etc. Core Origin pourrait être la première société au monde à lancer des produits commerciaux Chiplet pour les clients.

4. les cibles bénéfiques : se concentrer sur le segment emballage/dispositif/IP et le changement de la chaîne d’approvisionnement !Emballage avancé : la Chine a actuellement un écart important avec les fabricants internationaux en ce qui concerne la technologie des processus avancés, et la solution Chiplet offre une opportunité pour l’industrie chinoise de fabrication de puces d’infléchir la courbe et de dépasser la concurrence. Les fabricants chinois de puces peuvent compenser le désavantage du retard de la Chine dans la chaîne industrielle des procédés avancés en adoptant la solution Chiplet pour améliorer les performances des puces grâce à un conditionnement avancé. Les entreprises chinoises du secteur de l’emballage avancé devraient bénéficier du développement des solutions Chiplet, au profit notamment des entreprises Tongfu Microelectronics Co.Ltd(002156) , Jcet Group Co.Ltd(600584) , etc.

Sociétés IP : les solutions Chiplet réduisent le coût et le seuil de la conception des puces, et le multiplexage IP augmente la flexibilité de la conception. Les entreprises IP devraient se transformer de fournisseurs d’IP en fournisseurs de chiplets et augmenter la valeur fournie dans la chaîne industrielle, au profit d’entreprises telles que Verisilicon Microelectronics (Shanghai) Co.Ltd(688521) .

Équipements d’emballage et de test : La clé de la mise en œuvre des solutions Chiplet réside dans la mise en œuvre d’une technologie d’emballage avancée, ce qui augmente les exigences et la demande d’équipements d’emballage. Si Chiplet conçoit un grand nombre de puces nues, le processus de conditionnement nécessite de tester un grand nombre de puces pour garantir le rendement de la puce finale. Les entreprises chinoises d’équipements d’emballage et de test devraient en bénéficier, profitant notamment aux entreprises Beijing Huafeng Test & Control Technology Co.Ltd(688200) , Hangzhou Chang Chuan Technology Co.Ltd(300604) , Shenzhen Xinyichang Technology Co.Ltd(688383) , Suzhou Uigreen Micro&Nano Technologies Co.Ltd(688661) , etc.

Carte d’emballage : la solution Chiplet utilisera un emballage 2.5D, un emballage 3D, un emballage MCM et d’autres formes d’emballage avancé pour la puce, cette méthode d’emballage augmentera le nombre de couches d’ABF, de carte de support PCB, le nombre spécifique de couches et les exigences d’indice technique dépendent du schéma de conception de la puce. Les fabricants chinois d’ABF, de cartes porteuses de PCB devraient bénéficier du développement des solutions Chiplet, les entreprises bénéficiaires comprennent Shenzhen Fastprint Circuit Tech Co.Ltd(002436) etc.

Articles connexes

Le “retour du roi” des semi-conducteurs ? Une forte reprise ouvre ces actions par les gestionnaires de fonds vedettes pour augmenter les positions Une nouvelle ligne principale se dessine

La nouvelle ligne principale de semi-conducteurs surface cette technologie dans la poursuite de la loi de Moore nouvelle arme magique sociétés cotées ont augmenté la mise en page !

Les fabricants chinois devraient rapidement accroître leur part de marché ! Le nombre d’offres gagnantes d’équipements de semi-conducteurs en cours d’exécution de peigne d’offres de base élevé

Citic Securities Company Limited(600030) :Au delà de la loi de Moore, l’emballage avancé a un grand potentiel.

- Advertisment -